#User Constrain File for BASYS and NEXYS kits #Use the comment / uncomment commands to select # Revision 18-2-2009 #PACE: Start of Constraints generated by PACE #PACE: Start of PACE I/O Pin Assignments ############################## B A S Y S - kit #NET "JA<1>" LOC = "p81" ; #PMOD 1 #NET "JA<2>" LOC = "p91" ; #NET "JA<3>" LOC = "p82" ; #NET "JA<4>" LOC = "p92" ; ###-------------------------------------- ##NET "JB<1>" LOC = "p87" ; #PMOD 2 ##NET "JB<2>" LOC = "p93" ; ##NET "JB<3>" LOC = "p88" ; ##NET "JB<4>" LOC = "p94" ; ###-------------------------------------- ##NET "JC<1>" LOC = "p77" ; #PMOD 3 ##NET "JC<2>" LOC = "p86" ; ##NET "JC<3>" LOC = "p76" ; ##NET "JC<4>" LOC = "p85" ; ###-------------------------------------- ##NET "JD<1>" LOC = "p75" ; #PMOD 4 ##NET "JD<2>" LOC = "p59" ; ##NET "JD<3>" LOC = "p74" ; ##NET "JD<4>" LOC = "p58" ; ##--------------------- 7 Segment display #NET "An<0>" LOC = "p34" ; #NET "An<1>" LOC = "p33" ; #NET "An<2>" LOC = "p32" ; #NET "An<3>" LOC = "p26" ; #NET "Segm<1>" LOC = "p25" ; #NET "Segm<2>" LOC = "p16" ; #NET "Segm<3>" LOC = "p23" ; #NET "Segm<4>" LOC = "p21" ; #NET "Segm<5>" LOC = "p20" ; #NET "Segm<6>" LOC = "p17" ; #NET "Segm<7>" LOC = "p83" ; #NET "Segm<8>" LOC = "p22" ; # #NET "Btn<0>" LOC = "p69" ; #NET "Btn<1>" LOC = "p48" ; #NET "Btn<2>" LOC = "p47" ; #NET "Btn<3>" LOC = "p41" ; # #NET "Ld<0>" LOC = "p15" ; #NET "Ld<1>" LOC = "p14" ; #NET "Ld<2>" LOC = "p8" ; #NET "Ld<3>" LOC = "p7" ; #NET "Ld<4>" LOC = "p5" ; #NET "Ld<5>" LOC = "p4" ; #NET "Ld<6>" LOC = "p3" ; #NET "Ld<7>" LOC = "p2" ; # #NET "Sw<0>" LOC = "p38" ; #NET "Sw<1>" LOC = "p36" ; #NET "Sw<2>" LOC = "p29" ; #NET "Sw<3>" LOC = "p24" ; #NET "Sw<4>" LOC = "p18" ; #NET "Sw<5>" LOC = "p12" ; #NET "Sw<6>" LOC = "p10" ; #NET "Sw<7>" LOC = "p6" ; #NET "VGA<9>" LOC = "xxx" ; #Red 0 #NET "VGA<8>" LOC = "xxx" ; #Red 1 #NET "VGA<7>" LOC = "xxx" ; #Red 2 #NET "VGA<6>" LOC = "xxx" ; #Green 0 #NET "VGA<5>" LOC = "xxx" ; #Green 1 #NET "VGA<4>" LOC = "xxx" ; #Green 2 #NET "VGA<3>" LOC = "xxx" ; #Blue 0 #NET "VGA<2>" LOC = "xxx" ; #Blue 1 #NET "VGA<1>" LOC = "xxx" ; #HS #NET "VGA<0>" LOC = "xxx" ; #VS #NET "PS2_CLK" LOC = "p69"; #NET "PS2_DATA" LOC = "p97"; #NET "Clk_50MHz" LOC = "p54" ; ############################# N E X Y S - kit #NET "JA<1>" LOC = "L15" ; #PMOD 1 #NET "JA<2>" LOC = "K12" ; #NET "JA<3>" LOC = "L17" ; #NET "JA<4>" LOC = "M15" ; ##NET "JA<5>" LOC = "K13" ; #PMOD 1 ##NET "JA<6>" LOC = "L16" ; ##NET "JA<7>" LOC = "M14" ; ##NET "JA<8>" LOC = "M16" ; ##-------------------------------------- #NET "JB<1>" LOC = "M13" ; #PMOD 2 #NET "JB<2>" LOC = "R18" ; #NET "JB<3>" LOC = "R15" ; #NET "JB<4>" LOC = "T17" ; ##-------------------------------------- #NET "JC<1>" LOC = "G15" ; #PMOD 3 #NET "JC<2>" LOC = "J16" ; #NET "JC<3>" LOC = "G13" ; #NET "JC<4>" LOC = "H16" ; ##-------------------------------------- #NET "JD<1>" LOC = "J13" ; #PMOD 4 #NET "JD<2>" LOC = "M18" ; #NET "JD<3>" LOC = "N18" ; #NET "JD<4>" LOC = "P18" ; ##-------------------- 7 Segment display #NET "An<0>" LOC = "F17" ; #NET "An<1>" LOC = "H17" ; #NET "An<2>" LOC = "C18" ; #NET "An<3>" LOC = "F15" ; #NET "Segm<1>" LOC = "L18" ; #Segment a #NET "Segm<2>" LOC = "F18" ; #NET "Segm<3>" LOC = "D17" ; #NET "Segm<4>" LOC = "D16" ; #NET "Segm<5>" LOC = "G14" ; #NET "Segm<6>" LOC = "J17" ; #NET "Segm<7>" LOC = "H14" ; #NET "Segm<8>" LOC = "C17" ; #dp # NET "Btn<0>" LOC = "B18" ; NET "Btn<1>" LOC = "D18" ; NET "Btn<2>" LOC = "E18" ; NET "Btn<3>" LOC = "H13" ; NET "Ld<0>" LOC = "J14" ; NET "Ld<1>" LOC = "J15" ; NET "Ld<2>" LOC = "K15" ; NET "Ld<3>" LOC = "K14" ; NET "Ld<4>" LOC = "E17" ; NET "Ld<5>" LOC = "P15" ; NET "Ld<6>" LOC = "F4" ; NET "Ld<7>" LOC = "R4" ; NET "Sw<0>" LOC = "G18" ; NET "Sw<1>" LOC = "H18" ; NET "Sw<2>" LOC = "K18" ; NET "Sw<3>" LOC = "K17" ; NET "Sw<4>" LOC = "L14" ; NET "Sw<5>" LOC = "L13" ; NET "Sw<6>" LOC = "N17" ; NET "Sw<7>" LOC = "R17" ; # #NET "VGA<9>" LOC = "xxx" ; #Red 0 #NET "VGA<8>" LOC = "xxx" ; #Red 1 #NET "VGA<7>" LOC = "xxx" ; #Red 2 #NET "VGA<6>" LOC = "xxx" ; #Green 0 #NET "VGA<5>" LOC = "xxx" ; #Green 1 #NET "VGA<4>" LOC = "xxx" ; #Green 2 #NET "VGA<3>" LOC = "xxx" ; #Blue 0 #NET "VGA<2>" LOC = "xxx" ; #Blue 1 #NET "VGA<1>" LOC = "xxx" ; #HS #NET "VGA<0>" LOC = "xxx" ; #VS #NET "PS2_CLK" LOC = "R12"; #NET "PS2_DATA" LOC = "P11"; NET "Clk_50MHz" LOC = "B8" ; #PACE: Start of PACE Area Constraints #PACE: Start of PACE Prohibit Constraints #PACE: End of Constraints generated by PACE