[Home] [Mux example] [Dec3to8 example] [Prime detector]
Mux example
Up ] Structure ] Dataflow ] When Else ] With Select ] Process ] If Then Else ] Case Is ] Array[ Index] ] Inside a FPGA ]

The multiplexer can be found in almost every digital design and Mux's also a basic element inside a FPGA.

The goal of this example to present a multiplexer using different VHDL statements.

The Entity is the same for all examples and will produce a schematic symbol like the one shown at the right.

The standard multiplexer M4_1E can be used as well as an example.

 

  
      Extract from the M4_1E - Truth table