[Home] [After - Wait] [Transport] [TestBenches] [Testbench with a 1. order model]
After - Wait
Up ]

 
 

 

Last updated: 02-02-09

 


Delay of signal with  - After x time

  Sim1.vhd
 
X <= not X could actually synthesize into hardware but will properly be less useful for an oscillator as the frequency will depends on internal delay.

But for a simulation oscillator with a after "clock period"/2 statement will it turn out useful.

An other way to utilize  the after statement could be the compunded version where the values and delays separated with commas.

   

   
 
   
 
   
 
   


Delay of a signal with  - wait for x time

   Sim2.vhd
 
the process of VHDL a quite power tool in order to produce simulation code.

Please note that process will run forever - meaning it will start again automatic when ever it ends.

The only way to "kill" a process will be a wait statement without any time assignment.

The wait for 20 ns will wait for the given time (20 ns)

 
   
   

 

The Process will repeat forever
   
A single Wait statement will "kill" the process.

 

   

 

   

 

   

Hit Counter