VERSION 6 BEGIN SCHEMATIC BEGIN ATTR DeviceFamilyName "spartan3e" DELETE all:0 EDITNAME all:0 EDITTRAIT all:0 END ATTR BEGIN NETLIST SIGNAL XLXN_2 SIGNAL XLXN_3 SIGNAL XLXN_4 SIGNAL Q0 SIGNAL A SIGNAL B SIGNAL C SIGNAL D SIGNAL Q1 SIGNAL Q2 PORT Input Q0 PORT Output A PORT Output B PORT Output C PORT Output D PORT Input Q1 PORT Input Q2 BEGIN BLOCKDEF m4_1e TIMESTAMP 2000 1 1 10 10 10 LINE N 0 -416 96 -416 LINE N 0 -352 96 -352 LINE N 0 -288 96 -288 LINE N 0 -224 96 -224 LINE N 0 -32 96 -32 LINE N 320 -320 256 -320 LINE N 0 -160 96 -160 LINE N 0 -96 96 -96 LINE N 176 -96 96 -96 LINE N 176 -208 176 -96 LINE N 224 -32 96 -32 LINE N 224 -216 224 -32 LINE N 256 -224 96 -192 LINE N 256 -416 256 -224 LINE N 96 -448 256 -416 LINE N 96 -192 96 -448 LINE N 128 -160 96 -160 LINE N 128 -200 128 -160 END BLOCKDEF BEGIN BLOCKDEF vcc TIMESTAMP 2000 1 1 10 10 10 LINE N 64 -32 64 -64 LINE N 64 0 64 -32 LINE N 96 -64 32 -64 END BLOCKDEF BEGIN BLOCKDEF gnd TIMESTAMP 2000 1 1 10 10 10 LINE N 64 -64 64 -96 LINE N 76 -48 52 -48 LINE N 68 -32 60 -32 LINE N 88 -64 40 -64 LINE N 64 -64 64 -80 LINE N 64 -128 64 -96 END BLOCKDEF BEGIN BLOCKDEF inv TIMESTAMP 2000 1 1 10 10 10 LINE N 0 -32 64 -32 LINE N 224 -32 160 -32 LINE N 64 -64 128 -32 LINE N 128 -32 64 0 LINE N 64 0 64 -64 CIRCLE N 128 -48 160 -16 END BLOCKDEF BEGIN BLOCK XLXI_4 m4_1e PIN D0 PIN D1 PIN D2 PIN D3 PIN E PIN S0 Q1 PIN S1 Q2 PIN O A END BLOCK BEGIN BLOCK XLXI_5 m4_1e PIN D0 PIN D1 PIN D2 PIN D3 PIN E PIN S0 Q1 PIN S1 Q2 PIN O B END BLOCK BEGIN BLOCK XLXI_6 m4_1e PIN D0 PIN D1 PIN D2 PIN D3 PIN E PIN S0 Q1 PIN S1 Q2 PIN O C END BLOCK BEGIN BLOCK XLXI_7 m4_1e PIN D0 PIN D1 PIN D2 PIN D3 PIN E PIN S0 Q1 PIN S1 Q2 PIN O D END BLOCK BEGIN BLOCK XLXI_13 vcc PIN P XLXN_2 END BLOCK BEGIN BLOCK XLXI_14 gnd PIN G XLXN_3 END BLOCK BEGIN BLOCK XLXI_15 inv PIN I Q0 PIN O XLXN_4 END BLOCK END NETLIST BEGIN SHEET 1 3520 2720 INSTANCE XLXI_5 896 1392 R0 INSTANCE XLXI_7 896 2352 R0 INSTANCE XLXI_6 896 1872 R0 INSTANCE XLXI_4 880 896 R0 INSTANCE XLXI_13 736 368 R0 INSTANCE XLXI_14 784 2512 R0 INSTANCE XLXI_15 512 2400 R0 BEGIN BRANCH XLXN_2 WIRE 800 368 800 2352 END BRANCH BEGIN BRANCH XLXN_3 WIRE 848 352 848 2384 END BRANCH BEGIN BRANCH XLXN_4 WIRE 736 2368 752 2368 WIRE 752 352 752 2368 END BRANCH BEGIN BRANCH Q0 WIRE 432 2368 480 2368 WIRE 480 2368 512 2368 WIRE 480 2320 480 2368 WIRE 480 2320 704 2320 WIRE 704 352 704 2320 END BRANCH BEGIN BRANCH A WIRE 1200 576 1216 576 WIRE 1216 576 1248 576 END BRANCH BEGIN BRANCH B WIRE 1216 1072 1232 1072 WIRE 1232 1072 1248 1072 END BRANCH BEGIN BRANCH C WIRE 1216 1552 1232 1552 WIRE 1232 1552 1248 1552 END BRANCH BEGIN BRANCH D WIRE 1216 2032 1232 2032 WIRE 1232 2032 1248 2032 END BRANCH BEGIN BRANCH Q1 WIRE 432 2224 608 2224 WIRE 608 736 608 1168 WIRE 608 1168 608 1232 WIRE 608 1232 896 1232 WIRE 608 1232 608 1712 WIRE 608 1712 608 2064 WIRE 608 2064 608 2192 WIRE 608 2192 608 2224 WIRE 608 2192 896 2192 WIRE 608 1712 896 1712 WIRE 608 736 880 736 END BRANCH BEGIN BRANCH Q2 WIRE 432 2272 656 2272 WIRE 656 800 880 800 WIRE 656 800 656 816 WIRE 656 816 656 1296 WIRE 656 1296 896 1296 WIRE 656 1296 656 1776 WIRE 656 1776 896 1776 WIRE 656 1776 656 2256 WIRE 656 2256 656 2272 WIRE 656 2256 896 2256 END BRANCH IOMARKER 432 2224 Q1 R180 28 IOMARKER 432 2272 Q2 R180 28 IOMARKER 432 2368 Q0 R180 28 IOMARKER 1248 2032 D R0 28 IOMARKER 1248 1552 C R0 28 IOMARKER 1248 1072 B R0 28 IOMARKER 1248 576 A R0 28 END SHEET END SCHEMATIC