VERSION 6 BEGIN SCHEMATIC BEGIN ATTR DeviceFamilyName "spartan3e" DELETE all:0 EDITNAME all:0 EDITTRAIT all:0 END ATTR BEGIN NETLIST SIGNAL Clk_1kHz SIGNAL Bcd1_10(7:0) SIGNAL StartStop SIGNAL LapReset SIGNAL Led(7:0) SIGNAL Seg(1:8) SIGNAL An(3:0) SIGNAL Bcd1_10(4) SIGNAL LapTime SIGNAL StSt SIGNAL XLXN_51(3:0) SIGNAL Clk SIGNAL XLXN_46(15:0) SIGNAL XLXN_290 SIGNAL XLXN_291 SIGNAL XLXN_306 SIGNAL Reset SIGNAL XLXN_342(3:0) SIGNAL XLXN_343(7:0) SIGNAL XLXN_344(7:0) SIGNAL XLXN_345(3:0) SIGNAL XLXN_346(3:0) SIGNAL XLXN_347 SIGNAL XLXN_348(3:0) SIGNAL XLXN_349(3:0) PORT Input StartStop PORT Input LapReset PORT Output Led(7:0) PORT Output Seg(1:8) PORT Output An(3:0) PORT Input Clk PORT Input Reset BEGIN BLOCKDEF TeenthSec TIMESTAMP 2007 10 6 10 47 10 RECTANGLE N 64 -64 320 0 RECTANGLE N 0 -44 64 -20 LINE N 64 -32 0 -32 RECTANGLE N 320 -44 384 -20 LINE N 320 -32 384 -32 END BLOCKDEF BEGIN BLOCKDEF Toggle_Button3 TIMESTAMP 2007 10 6 10 47 19 RECTANGLE N 64 -192 320 0 LINE N 64 -160 0 -160 LINE N 64 -32 0 -32 LINE N 320 -160 384 -160 LINE N 320 -96 384 -96 LINE N 320 -32 384 -32 END BLOCKDEF BEGIN BLOCKDEF Lap_Time_Latch TIMESTAMP 2007 10 6 11 24 27 RECTANGLE N 64 -256 320 0 LINE N 64 -224 0 -224 RECTANGLE N 0 -172 64 -148 LINE N 64 -160 0 -160 RECTANGLE N 0 -108 64 -84 LINE N 64 -96 0 -96 RECTANGLE N 0 -44 64 -20 LINE N 64 -32 0 -32 RECTANGLE N 320 -236 384 -212 LINE N 320 -224 384 -224 RECTANGLE N 320 -44 384 -20 LINE N 320 -32 384 -32 END BLOCKDEF BEGIN BLOCKDEF muxdisplay_ver3 TIMESTAMP 2007 10 6 10 47 25 RECTANGLE N 64 -192 320 0 LINE N 64 -160 0 -160 RECTANGLE N 0 -108 64 -84 LINE N 64 -96 0 -96 RECTANGLE N 0 -44 64 -20 LINE N 64 -32 0 -32 LINE N 320 -160 384 -160 RECTANGLE N 320 -108 384 -84 LINE N 320 -96 384 -96 RECTANGLE N 320 -44 384 -20 LINE N 320 -32 384 -32 END BLOCKDEF BEGIN BLOCKDEF Blinking TIMESTAMP 2007 10 6 11 41 6 RECTANGLE N 64 -192 320 0 LINE N 64 -160 0 -160 LINE N 64 -96 0 -96 LINE N 64 -32 0 -32 RECTANGLE N 320 -172 384 -148 LINE N 320 -160 384 -160 END BLOCKDEF BEGIN BLOCKDEF Watch_Counter TIMESTAMP 2008 10 9 19 48 53 LINE N 64 -160 0 -160 LINE N 64 -96 0 -96 LINE N 64 -32 0 -32 LINE N 320 -160 384 -160 RECTANGLE N 64 -192 320 256 RECTANGLE N 320 -60 384 -36 LINE N 320 -48 384 -48 RECTANGLE N 320 212 384 236 LINE N 320 224 384 224 RECTANGLE N 320 148 384 172 LINE N 320 160 384 160 RECTANGLE N 320 84 384 108 LINE N 320 96 384 96 END BLOCKDEF BEGIN BLOCKDEF STATEM1 TIMESTAMP 2008 10 9 18 49 48 RECTANGLE N 64 -256 320 0 LINE N 64 -224 0 -224 LINE N 64 -160 0 -160 LINE N 64 -96 0 -96 LINE N 64 -32 0 -32 LINE N 320 -224 384 -224 LINE N 320 -128 384 -128 LINE N 320 -32 384 -32 END BLOCKDEF BEGIN BLOCK XLXI_16 Toggle_Button3 PIN Clk Clk_1kHz PIN Button StartStop PIN Toggle PIN Deboun PIN Pulse XLXN_290 END BLOCK BEGIN BLOCK XLXI_17 Toggle_Button3 PIN Clk Clk_1kHz PIN Button LapReset PIN Toggle PIN Deboun PIN Pulse XLXN_291 END BLOCK BEGIN BLOCK XLXI_19 muxdisplay_ver3 PIN Clk_50MHz Clk PIN Bcd(15:0) XLXN_46(15:0) PIN Dp(3:0) XLXN_51(3:0) PIN Clk_1kHz Clk_1kHz PIN Segm(1:8) Seg(1:8) PIN An(3:0) An(3:0) END BLOCK BEGIN BLOCK XLXI_22 Blinking PIN StartStop StSt PIN Lap LapTime PIN HalfSec Bcd1_10(4) PIN Dp(3:0) XLXN_51(3:0) END BLOCK BEGIN BLOCK XLXI_18 Lap_Time_Latch PIN Latch LapTime PIN Bcd1_10(7:0) Bcd1_10(7:0) PIN BcdSec(7:0) XLXN_343(7:0) PIN BcdMin(7:0) XLXN_344(7:0) PIN Leds(7:0) Led(7:0) PIN Bcd(15:0) XLXN_46(15:0) END BLOCK BEGIN BLOCK XLXI_10 TeenthSec PIN Bcd1_10(3:0) XLXN_342(3:0) PIN Leds(7:0) Bcd1_10(7:0) END BLOCK BEGIN BLOCK XLXI_55 Watch_Counter PIN Clk Clk_1kHz PIN Clr XLXN_306 PIN En StSt PIN Rco PIN Sec1_10(3:0) XLXN_342(3:0) PIN Hour(7:0) PIN Min(7:0) XLXN_344(7:0) PIN Sec(7:0) XLXN_343(7:0) END BLOCK BEGIN BLOCK XLXI_56 STATEM1 PIN CLK Clk_1kHz PIN RESET Reset PIN x_LR XLXN_291 PIN x_SS XLXN_290 PIN Clear XLXN_306 PIN Count StSt PIN Lap LapTime END BLOCK END NETLIST BEGIN SHEET 1 3520 2720 BEGIN BRANCH StartStop WIRE 176 576 272 576 END BRANCH BEGIN BRANCH LapReset WIRE 160 928 288 928 END BRANCH BEGIN INSTANCE XLXI_16 272 608 R0 END INSTANCE IOMARKER 176 576 StartStop R180 28 BEGIN BRANCH Led(7:0) WIRE 2368 928 2384 928 WIRE 2384 928 2672 928 END BRANCH BEGIN BRANCH Seg(1:8) WIRE 2880 1120 2896 1120 WIRE 2896 1120 2976 1120 END BRANCH BEGIN BRANCH An(3:0) WIRE 2880 1184 2896 1184 WIRE 2896 1184 2976 1184 END BRANCH BEGIN BRANCH XLXN_51(3:0) WIRE 1744 1248 1760 1248 WIRE 1760 1184 2496 1184 WIRE 1760 1184 1760 1248 END BRANCH BEGIN BRANCH Clk WIRE 2480 1056 2496 1056 END BRANCH BEGIN BRANCH XLXN_46(15:0) WIRE 2368 1120 2496 1120 END BRANCH BEGIN BRANCH Clk_1kHz WIRE 256 320 256 448 WIRE 256 448 272 448 WIRE 256 448 256 800 WIRE 256 800 288 800 WIRE 256 800 256 1152 WIRE 256 1152 320 1152 WIRE 256 320 736 320 WIRE 736 320 2480 320 WIRE 2480 320 2944 320 WIRE 2944 320 2944 1056 WIRE 736 320 736 800 WIRE 736 800 800 800 WIRE 2880 1056 2944 1056 BEGIN DISPLAY 2480 320 ATTR Name ALIGNMENT SOFT-BCENTER END DISPLAY END BRANCH BEGIN INSTANCE XLXI_56 320 1376 R0 END INSTANCE BEGIN BRANCH XLXN_291 WIRE 224 1008 224 1280 WIRE 224 1280 320 1280 WIRE 224 1008 672 1008 WIRE 672 928 672 1008 END BRANCH BEGIN BRANCH XLXN_290 WIRE 176 656 176 1344 WIRE 176 1344 320 1344 WIRE 176 656 688 656 WIRE 656 576 688 576 WIRE 688 576 688 656 END BRANCH BEGIN INSTANCE XLXI_17 288 960 R0 END INSTANCE IOMARKER 160 928 LapReset R180 28 BEGIN BRANCH Bcd1_10(4) WIRE 1328 1376 1360 1376 BEGIN DISPLAY 1328 1376 ATTR Name ALIGNMENT SOFT-RIGHT END DISPLAY END BRANCH BEGIN BRANCH StSt WIRE 704 1248 752 1248 WIRE 752 1248 1360 1248 WIRE 752 928 800 928 WIRE 752 928 752 1248 END BRANCH BEGIN BRANCH LapTime WIRE 704 1344 1264 1344 WIRE 1264 848 1264 1312 WIRE 1264 1312 1264 1344 WIRE 1264 1312 1360 1312 WIRE 1264 848 1776 848 WIRE 1776 848 1776 928 WIRE 1776 928 1984 928 END BRANCH BEGIN BRANCH Bcd1_10(7:0) WIRE 1728 992 1904 992 WIRE 1904 992 1984 992 BEGIN DISPLAY 1904 992 ATTR Name ALIGNMENT SOFT-BCENTER END DISPLAY END BRANCH BEGIN BRANCH Reset WIRE 144 1216 320 1216 END BRANCH IOMARKER 144 1216 Reset R180 28 BEGIN INSTANCE XLXI_55 800 960 R0 END INSTANCE BEGIN BRANCH XLXN_306 WIRE 704 1152 720 1152 WIRE 720 864 800 864 WIRE 720 864 720 1152 END BRANCH BEGIN BRANCH XLXN_342(3:0) WIRE 1184 912 1200 912 WIRE 1200 912 1200 992 WIRE 1200 992 1344 992 END BRANCH BEGIN BRANCH XLXN_343(7:0) WIRE 1184 1056 1200 1056 WIRE 1200 1056 1984 1056 END BRANCH BEGIN BRANCH XLXN_344(7:0) WIRE 1184 1120 1200 1120 WIRE 1200 1120 1984 1120 END BRANCH BEGIN INSTANCE XLXI_10 1344 1024 R0 END INSTANCE BEGIN INSTANCE XLXI_22 1360 1408 R0 END INSTANCE BEGIN INSTANCE XLXI_18 1984 1152 R0 END INSTANCE IOMARKER 2480 1056 Clk R180 28 BEGIN INSTANCE XLXI_19 2496 1216 R0 END INSTANCE IOMARKER 2672 928 Led(7:0) R0 28 IOMARKER 2976 1120 Seg(1:8) R0 28 IOMARKER 2976 1184 An(3:0) R0 28 END SHEET END SCHEMATIC