[Home] [Structural VHDL] [Concurrent VHDL] [Sequential VHDL]
Structural VHDL
Up ]

 

 

 

Last updated: 30-01-09

VHDL designs will usually consist of modules / components (like Objects and Classes in C++,C# and Java).
The purpose of structural VHDL code is to define a schematic of such modules. Please note to most tools like Xilinx ISE will produce the structural code from a Schematic (made by the user).

 

   
 

 

 

 

   

Hit Counter