[Home] [#3.1 SR-Latch] [#3.2 D-Latch] [#3.3 D-F/F] [#3.4 D-F/F Ce Clr Res] [#3.5 Debouncher] [#3.6 T-F/F]
#3.2 D-Latch
Up ]

D-Latches not that often used in digital designs - but they very important in concern with PLC programming.

 

 

 
 
  •  D-Latch 1 - The text book version

Basic implementation of a D-Latch with NAND-gates.
 

Read more in Wakerly 4ed - 7.2.4

Or read more in Mark - 6.1.2

 

  •  D-Latch 2 - The standard schematic symbol

This component can be found in the ISE schematic library

Please note! QN might give problems in practice, depending on the implementation in the FPGA

Generally must the Boolean equation
QN = Not Q always be true

  •  D-Latch 3 - The alternative Mux version  (Read more here)

The Mux-D-Latch not normally found in textbooks (could it be my own idea?)

However will a multiplexer normally present a 1- hazard and hence not fitted for asynchronous feedback loops. 

Conclusion - don't use this in "real-life" even it works perfect in practice.

  •  D-Latch 4 - The most common VHDL version

This the most common way to implement a D-Latch with VHDL.
What's actually behind a VHDL model???

The synthisise tool (most likely XTS) use your VHDL code to figure out the functionality of the circuit you wants.

In the end must this circuit use the built-in components in the FPGA.

Note how the memory elements can set as either FF or LATCH with active high or low inputs.  

A view from the inside of a Spartan 3E FPGA

 
  •  CMOS D-Latches - Based on transmission gates

Learn more about the CMOS D-latch here
(go to the bottom)